N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTPl"t>/`"5t}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTNl O>/`"5o}_1
N1FQI-4>TQUV5Y,W1YK-1,WIDE2*,qAR,KK1X-1:`cTNl!A>/`"5n}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTNl"V>/`"5b}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cTNl"V>/`"5`}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTNl"V>/`"5^}_1
N1FQI-4>TQUV4Q,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cTEo!W>/`"5Q}_1
N1FQI-4>TQUU9X,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cT m+=>/`"5'}_1
N1FQI-4>TQUU9P,EKONCT*,WIDE2-1,qAR,KC1IEN-10:`cSsl!,>/`"4i}_1
N1FQI-4>TQUX3Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cO{qfJ>/`"5J}_1
N1FQI-4>TRPR0P,W1YK-1,WIDE2*,qAR,KK1X-1:`cOTqf!>/`"5F}_1
N1FQI-4>TRQQ1Q,W1YK-1*,WIDE2-1,qAR,KB1NE-1:`cNnq_R>/`"5c}_1
N1FQI-4>APN20H,W1YK-1*,WIDE2-1,qAR,WZ0C-4:!4212.31N/07150.29W>009/016/Mic-E/M0/Off Duty
N1FQI-4>TRQR3Q,W1YK-1*,WIDE2-1,qAR,KK1X-1:`cN9m]'>/`"5[}_1
N1FQI-4>TRQR2S,W1YK-1*,WIDE2-1,qAR,N3LLO-1:`cN1m|2>/`"5U}_1
N1FQI-4>TRQQ8R,W1YK-1,W1MHL*,WIDE2,qAR,N3LEE-4:`cNXm\?>/`"5Z}_1
N1FQI-4>TRQQ9W,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LLO-1:`cNLl S>/`"5R}_1
N1FQI-4>TRQQ9W,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KC3ALJ-10:`cNLl S>/`"5S}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KC3ALJ-10:`cNLl S>/`"5S}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KK1X-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KK1X-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KC1RAY-1:`cNLl S>/`"5U}_1
N1FQI-4>APN20H,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,WZ0C-4:!4211.96N/07150.48W>008/000/Mic-E/M0/Off Duty
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KC3ALJ-10:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,W1MV-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LLO-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KC3ALJ-10:`cNLl S>/`"5U}_1
N1FQI-4>APN20H,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,WZ0C-4:!4211.96N/07150.48W>008/000/Mic-E/M0/Off Duty
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LEE-4:`cNLl S>/`"5U}_1
N1FQI-4>APN20H,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,WZ0C-4:!4211.96N/07150.48W>008/000/Mic-E/M0/Off Duty
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LLO-1:`cNLl S>/`"5U}_1
N1FQI-4>APN20H,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,WZ0C-4:!4211.96N/07150.48W>008/000/Mic-E/M0/Off Duty
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LLO-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KK1X-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,KK1X-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,W1MV-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LLO-1:`cNLl S>/`"5U}_1
N1FQI-4>APN20H,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,WZ0C-4:!4211.96N/07150.48W>008/000/Mic-E/M0/Off Duty
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,N3LLO-1:`cNLl S>/`"5U}_1
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,W1MV-1:`cNLl S>/`"5U}_1
N1FQI-4>APN20H,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,WZ0C-4:!4211.96N/07150.48W>008/000/Mic-E/M0/Off Duty
N1FQI-4>TRQQ9V,N1OHZ,WIDE1,W1MRA*,WIDE2,qAR,W1MV-1:`cNLl S>/`"5U}_1
N1FQI-4>TRPP6Q,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cO?q+[>/`"5r}_1
N1FQI-4>TQUY7T,WIDE1-1,WIDE2-1,qAR,N3LEE-4:`cO.q]m>/`"5w}_1
N1FQI-4>TQUW2T,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cPlq, >/`"50}_1
N1FQI-4>TQUU4V,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cPWl!g>/`"5.}_1
N1FQI-4>TQUU4V,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cPWl!g>/`"5.}_1
N1FQI-4>TQUU4V,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cPWl!g>/`"5.}_1
N1FQI-4>TQUU4V,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cPWl!g>/`"5.}_1
N1FQI-4>TQUU4V,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cPWl!g>/`"5.}_1
N1FQI-4>TQUU4X,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cPYmKQ>/`"5.}_1
N1FQI-4>TQUV0Q,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cT!mi@>/`"5-}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTNl"n>/`"5B}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cTNl!/>/`"5B}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTNl!/>/`"5B}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N1LMA:`cTNl!/>/`"5B}_1
N1FQI-4>TQUV5Y,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cTNl!/>/`"5B}_1
N1FQI-4>TQUV5Y,UNIOCT,KB1AEV-15*,WIDE2,qAR,N1ATP-15:`cTNl!/>/`"5B}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTNl!/>/`"5A}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTNl!/>/`"5A}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,K1AJD-10:`cTNl!/>/`"5A}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTNl!/>/`"5A}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTNl!/>/`"5A}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTNl!/>/`"5@}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTNl!/>/`"5@}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LEE-4:`cTNl!/>/`"5=}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LLO-1:`cTNl!/>/`"5=}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTNl!/>/`"5=}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cTNl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,KC1IEN-10:`cTNl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LLO-1:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,KC1IEN-10:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LLO-1:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,N3LLO-1:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LLO-1:`cTMl!/>/`"5>}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,W1MV-1:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N1LMA:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,UNIOCT*,WIDE2-1,qAR,W1MV-1:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAO,WA1VIN:`cTMl!/>/`"5?}_1
N1FQI-4>TQUV5Y,EKONCT*,WIDE2-1,qAR,N3LEE-4:`cTMl!/>/`"5?}_1